兩個(gè)標(biāo)準(zhǔn): 1、1987年的 IEEE 1076(VHDL87);2、1993年進(jìn)行了修正(VHDL93),歡迎點(diǎn)擊下載VHDL培訓(xùn)教程PPT(部分ppt內(nèi)容已做更新升級(jí))課件哦。" />

久久无码高潮喷水抽搐,极品馒头泬19p,久久人人爽精品玩人妻av,精品国产成人国产在线视,精品视频一区二区三区在线观看

最新更新最新專題

您的位置:首頁(yè) > ppt下載 > PPT課件 > 培訓(xùn)教程PPT > VHDL培訓(xùn)教程PPT課件

VHDL培訓(xùn)教程PPT課件下載

素材大。
771 KB
素材授權(quán):
免費(fèi)下載
素材格式:
.ppt
素材上傳:
ppt
上傳時(shí)間:
2016-06-08
素材編號(hào):
52622
素材類別:
培訓(xùn)教程PPT

素材預(yù)覽

VHDL培訓(xùn)教程PPT課件 VHDL培訓(xùn)教程PPT課件

這是一個(gè)關(guān)于VHDL培訓(xùn)教程PPT(部分ppt內(nèi)容已做更新升級(jí))課件,主要介紹了VHDL簡(jiǎn)介及其結(jié)構(gòu)、VHDL中的對(duì)象、操作符、數(shù)據(jù)類型、VHDL中的控制語(yǔ)句及模塊、狀態(tài)機(jī)的設(shè)計(jì)等內(nèi)容。VHDL的發(fā)展歷史起源于八十年代,由美國(guó)國(guó)防部開(kāi)發(fā)
兩個(gè)標(biāo)準(zhǔn): 1、1987年的 IEEE 1076(VHDL87);2、1993年進(jìn)行了修正(VHDL93),歡迎點(diǎn)擊下載VHDL培訓(xùn)教程PPT(部分ppt內(nèi)容已做更新升級(jí))課件哦。

VHDL培訓(xùn)教程PPT課件是由紅軟PPT免費(fèi)下載網(wǎng)推薦的一款培訓(xùn)教程PPT類型的PowerPoint.

VHDL培訓(xùn)教程p5v紅軟基地
第一講、VHDL簡(jiǎn)介及其結(jié)構(gòu)p5v紅軟基地
第二講、VHDL中的對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
第三講、VHDL中的控制語(yǔ)句及模塊p5v紅軟基地
第四講、狀態(tài)機(jī)的設(shè)計(jì)p5v紅軟基地
第一講、VHDL簡(jiǎn)介及其結(jié)構(gòu)p5v紅軟基地
通過(guò)本課的學(xué)習(xí)您可以了解以下幾點(diǎn)p5v紅軟基地
1、VHDL 的基本概念p5v紅軟基地
2、VHDL的基本結(jié)構(gòu)p5v紅軟基地
3、VHDL的設(shè)計(jì)初步p5v紅軟基地
什么是VHDLp5v紅軟基地
VHDL-p5v紅軟基地
      VHSIC Hardware Decription Languagep5v紅軟基地
  其中VHSIC-p5v紅軟基地
       Very High Speed Integrated Circuitp5v紅軟基地
電子設(shè)計(jì)自動(dòng)化的關(guān)鍵技術(shù)之一是要求用形式化p5v紅軟基地
方法來(lái)描述硬件系統(tǒng)。VHDL適應(yīng)了這種要求。p5v紅軟基地
VHDL和Verilog HDLp5v紅軟基地
Verilog HDL:p5v紅軟基地
   另一種硬件描述語(yǔ)言,由Verilog 公司開(kāi)發(fā),1995年成為IEEE標(biāo)準(zhǔn)。p5v紅軟基地
   優(yōu)點(diǎn):簡(jiǎn)單、易學(xué)易用p5v紅軟基地
   缺點(diǎn):功能不如VHDL強(qiáng)大,仿真工具少p5v紅軟基地
VHDL :p5v紅軟基地
   1987年成為IEEE標(biāo)準(zhǔn)p5v紅軟基地
    優(yōu)點(diǎn):功能強(qiáng)大、通用性強(qiáng)。p5v紅軟基地
    缺點(diǎn):難學(xué)p5v紅軟基地
VHDL的發(fā)展歷史p5v紅軟基地
起源于八十年代,由美國(guó)國(guó)防部開(kāi)發(fā)p5v紅軟基地
兩個(gè)標(biāo)準(zhǔn):p5v紅軟基地
    1、1987年的 IEEE 1076(VHDL87)p5v紅軟基地
    2、1993年進(jìn)行了修正(VHDL93)p5v紅軟基地
VHDL在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用p5v紅軟基地
VHDL在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用p5v紅軟基地
電子系統(tǒng)設(shè)計(jì)的描述等級(jí)p5v紅軟基地
1、行為級(jí)p5v紅軟基地
2、RTL級(jí)(Register transfer level)p5v紅軟基地
3、邏輯門(mén)級(jí)p5v紅軟基地
4、版圖級(jí)p5v紅軟基地
用VHDL可以描述以上四個(gè)等級(jí)p5v紅軟基地
VHDL在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用p5v紅軟基地
VHDL在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用p5v紅軟基地
VHDL在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用p5v紅軟基地
VHDL在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用p5v紅軟基地
如何使用VHDL描述硬件實(shí)體p5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
1、ENTITY(實(shí)體)p5v紅軟基地
格式: p5v紅軟基地
      Entity  實(shí)體名  ISp5v紅軟基地
               [類屬參數(shù)說(shuō)明]p5v紅軟基地
               [端口說(shuō)明]p5v紅軟基地
      End Entity;  p5v紅軟基地
  其中端口說(shuō)明格式為:p5v紅軟基地
    PORT(端口名1,端口名N:方向:類型)p5v紅軟基地
   其中方向有: IN , OUT, INOUT, BUFFER, LINKAGEp5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
注意p5v紅軟基地
簡(jiǎn)單地說(shuō)p5v紅軟基地
 In  不可以出現(xiàn)在<= 或  : = 的左邊p5v紅軟基地
 out不可以出現(xiàn)在<= 或  : = 的右邊p5v紅軟基地
 buffer可以出現(xiàn)在<= 或  : = 的兩邊p5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
例子 (HalfAdd)p5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
2、Arcthitecture(構(gòu)造體)p5v紅軟基地
    格式:p5v紅軟基地
    Arcthitecture 構(gòu)造體名 of  實(shí)體名  is p5v紅軟基地
       [定義語(yǔ)句] 內(nèi)部信號(hào)、常數(shù)、元件、數(shù)據(jù)類型、函數(shù)等的定義p5v紅軟基地
     begin p5v紅軟基地
       [并行處理語(yǔ)句和block、process、function、procedure]p5v紅軟基地
     end 構(gòu)造體名;p5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
例子(HalfAdd)p5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
例子 (FullAdd) (學(xué)習(xí)如何調(diào)用現(xiàn)有模塊)p5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
實(shí)例(FullAdd)-entityp5v紅軟基地
VHDL結(jié)構(gòu)要點(diǎn)p5v紅軟基地
實(shí)例(FullAdd)-architecturep5v紅軟基地
VHDL中的設(shè)計(jì)單元p5v紅軟基地
      除了entity(實(shí)體)和architecture(構(gòu)造體)外還有p5v紅軟基地
另外三個(gè)可以獨(dú)立進(jìn)行編譯的設(shè)計(jì)單元p5v紅軟基地
Package(包集合)屬于庫(kù)結(jié)構(gòu)的一個(gè)層次,存放信號(hào)定義、常數(shù)定義、數(shù)據(jù)類型、元件語(yǔ)句、函數(shù)定義和過(guò)程定義。p5v紅軟基地
Package Body 具有獨(dú)立對(duì)端口(port)的packagep5v紅軟基地
configuration(配置)描述層與層之間的連接關(guān)系以及實(shí)體與構(gòu)造體之間關(guān)系。p5v紅軟基地
VHDL中的設(shè)計(jì)單元p5v紅軟基地
VHDL中的設(shè)計(jì)單元(可以獨(dú)立編譯)p5v紅軟基地
Library 庫(kù)的概念p5v紅軟基地
STD庫(kù)    --VHDL的標(biāo)準(zhǔn)庫(kù)p5v紅軟基地
IEEE庫(kù)   -- VHDL的標(biāo)準(zhǔn)庫(kù)的擴(kuò)展p5v紅軟基地
面向ASIC的庫(kù) --不同的工藝p5v紅軟基地
不同公司自定義的庫(kù)p5v紅軟基地
普通用戶自己的庫(kù)p5v紅軟基地
Library 庫(kù)的概念p5v紅軟基地
用戶自己的庫(kù)p5v紅軟基地
    當(dāng)您的VHDL文件被編譯后,編譯的結(jié)果儲(chǔ)存在特定的目錄下,這個(gè)目錄的邏輯名稱即Library,此目錄下的內(nèi)容亦即是這個(gè)Library的內(nèi)容。p5v紅軟基地
Package 包的概念p5v紅軟基地
Package(包)p5v紅軟基地
VHDL中的結(jié)構(gòu)關(guān)系p5v紅軟基地
VHDL簡(jiǎn)介及其結(jié)構(gòu)p5v紅軟基地
本講結(jié)束p5v紅軟基地
下一講:p5v紅軟基地
      VHDL中的對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
第二講、VHDL對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
通過(guò)本課的學(xué)習(xí)您可以了解以下幾點(diǎn)p5v紅軟基地
1、VHDL 的基本類型p5v紅軟基地
2、如何在VHDL中定義類型p5v紅軟基地
3、VHDL 的信號(hào)定義p5v紅軟基地
4、如何在VHDL中對(duì)信號(hào)賦值p5v紅軟基地
5、VHDL中的操作符p5v紅軟基地
VHDL對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
對(duì)象objectp5v紅軟基地
    對(duì)客觀實(shí)體的抽象和概括p5v紅軟基地
VHDL中的對(duì)象有:p5v紅軟基地
1、Constant(常量)在程序中不可以被賦值 p5v紅軟基地
2、Variable(變量)在程序中可以被賦值(用“: =”),賦值后立即變化為新值。 p5v紅軟基地
3、Signal(信號(hào))在程序中可以被賦值(用“<=”) ,但不立即更新,當(dāng)進(jìn)程掛起后,才開(kāi)始更新。p5v紅軟基地
VHDL對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
VHDL中的對(duì)象使用:p5v紅軟基地
 variable  p5v紅軟基地
     x,y:integer;--定義了整數(shù)型的變量對(duì)象x,yp5v紅軟基地
constant  p5v紅軟基地
     Vcc:real;--定義了實(shí)數(shù)型的常量對(duì)象Vccp5v紅軟基地
signal p5v紅軟基地
    clk,reset:bit;--定義了位類型的信號(hào)對(duì)象clk,resetp5v紅軟基地
VHDL中的對(duì)象使用p5v紅軟基地
注意p5v紅軟基地
1、variable只能定義在process和subprogram(包括function和procedure)中,不可定以在其外部。p5v紅軟基地
2、signal不能定義在process和subprogram(包括function和procedure)中,只可定以在其外部。p5v紅軟基地
VHDL對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
對(duì)象的屬性p5v紅軟基地
   類似于其它面向?qū)ο蟮木幊陶Z(yǔ)言如VB、VC、DELPHIp5v紅軟基地
     用法格式:對(duì)象 ’ 屬性       p5v紅軟基地
     例       子:clk’event      --表明信號(hào)clk的event屬性p5v紅軟基地
 常用的屬性:p5v紅軟基地
  Signal 對(duì)象的常用屬性有:p5v紅軟基地
   event : 返回boolean值,信號(hào)發(fā)生變化時(shí)返回truep5v紅軟基地
   last_value:返回信號(hào)發(fā)生此次變化前的值p5v紅軟基地
   last_event:返回上一次信號(hào)發(fā)生變化到現(xiàn)在變化的間隔時(shí)間    p5v紅軟基地
VHDL對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
Signal 對(duì)象的常用屬性有:接上頁(yè)p5v紅軟基地
delayed[(時(shí)延值)]: 使信號(hào)產(chǎn)生固定時(shí)間的延時(shí)并返回p5v紅軟基地
stable[(時(shí)延值)]: 返回boolean, 信號(hào)在規(guī)定時(shí)間內(nèi)沒(méi)有變化返回truep5v紅軟基地
transaction: 返回bit類型,信號(hào)每發(fā)生一次變化,返回值翻轉(zhuǎn)一次p5v紅軟基地
VHDL對(duì)象、操作符、數(shù)據(jù)類型p5v紅軟基地
VHDL 的基本類型p5v紅軟基地
1、bit(位): `0` 和`1`p5v紅軟基地
2、bit-Vector(位矢量): 例如:``00110``p5v紅軟基地
3、Boolean “ ture”和“false”p5v紅軟基地
4、time  例如:1 us、100 ms,3 sp5v紅軟基地
5、character 例如:‘a’、’n’、’1’、 ’0’p5v紅軟基地
6、string  例如:“sdfsd”、”my design”p5v紅軟基地
7、integer  32位例如:1、234、-2134234p5v紅軟基地
8、real 范圍-1.0E38~+1.0E38 p5v紅軟基地
             例如:1.0、2.834、3.14、0.0p5v紅軟基地
VHDL 的基本類型p5v紅軟基地
9、natural  自然數(shù) 和 positive 正整數(shù)p5v紅軟基地
10、senverity level  (常和assert語(yǔ)句配合使用)p5v紅軟基地
       包含有:note、warning、error、failurep5v紅軟基地
以上十種類型是VHDL中的標(biāo)準(zhǔn)類型,在編程中可以直接使用。使用這十種以外的類型,需要自行定義或指明所引用的Library(庫(kù))和Package(包)集合p5v紅軟基地
VHDL 的基本類型p5v紅軟基地
例子一     p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
例子二p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
例子三              p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
例子四p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
例子五p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
連接操作符---使用&p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
集合操作---使用()p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
集合操作---采用序號(hào)p5v紅軟基地
VHDL 的基本類型和賦值p5v紅軟基地
集合操作--采用othersp5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
通用格式p5v紅軟基地
   TYPE 類型名  IS  數(shù)據(jù)類型定義 p5v紅軟基地
用戶可以定義的數(shù)據(jù)類型p5v紅軟基地
枚舉類型enumberated、整數(shù)型integer、p5v紅軟基地
實(shí)數(shù)型real、數(shù)組類型array、p5v紅軟基地
紀(jì)錄類型record、時(shí)間類型time、p5v紅軟基地
文件類型file、存取類型accessp5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
枚舉類型enumberatedp5v紅軟基地
格式p5v紅軟基地
  type 數(shù)據(jù)類型名 is (元素,元素…...);p5v紅軟基地
例子p5v紅軟基地
  type week is (sun,mon,tue,thu,fri,sat);p5v紅軟基地
  type std_logic is (‘1’,’0’,’x’,’z’);p5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
整數(shù)類integer和實(shí)數(shù)類realp5v紅軟基地
格式p5v紅軟基地
  type 數(shù)據(jù)類型名 is 數(shù)據(jù)類型定義  約束范圍;p5v紅軟基地
例子p5v紅軟基地
  type  week is   integer range 1 to 7;p5v紅軟基地
  type  current is   real   range -1E4 to 1E4p5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
數(shù)組類型arrayp5v紅軟基地
格式p5v紅軟基地
  type 數(shù)據(jù)類型名 is array 范圍 of 元數(shù)據(jù)類型名p5v紅軟基地
例子p5v紅軟基地
  type week is array (1 to 7) of integer;p5v紅軟基地
  type deweek is array  (1 to 7) of week;p5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
時(shí)間類型timep5v紅軟基地
格式p5v紅軟基地
  type 數(shù)據(jù)類型名 is  范圍 p5v紅軟基地
   units 基本單位;p5v紅軟基地
     單位;p5v紅軟基地
  end unitsp5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
時(shí)間類型例子p5v紅軟基地
 type time is range -1E18 to 1E18p5v紅軟基地
   unitsp5v紅軟基地
    us;p5v紅軟基地
    ms=1000 us; p5v紅軟基地
    sec=1000 ms;p5v紅軟基地
    min=60 sec;p5v紅軟基地
end unitsp5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
紀(jì)錄類型recordp5v紅軟基地
格式p5v紅軟基地
  type 數(shù)據(jù)類型名 is  recoerd p5v紅軟基地
    元素名:數(shù)據(jù)類型名;p5v紅軟基地
    元素名:數(shù)據(jù)類型名;p5v紅軟基地
     ….p5v紅軟基地
  end record;p5v紅軟基地
在VHDL中定義自己的類型p5v紅軟基地
紀(jì)錄類型的例子p5v紅軟基地
type order is recordp5v紅軟基地
   id:integer;p5v紅軟基地
   date:string;p5v紅軟基地
   security:boolean;p5v紅軟基地
end record;p5v紅軟基地
引用:signal flag:boolean; p5v紅軟基地
             signal order1:order;p5v紅軟基地
              order1<=(3423,”1999/07/07”,true);p5v紅軟基地
              flag<=order1.security; p5v紅軟基地
IEEE 1164中定義的類型p5v紅軟基地
std_ulogic 是對(duì)位(bit)類型的擴(kuò)展,只允許一個(gè)驅(qū)動(dòng)源 p5v紅軟基地
IEEE 1164中定義的類型p5v紅軟基地
Std_logic同std_ulogic 一樣有九個(gè)狀態(tài),允許一個(gè)或多個(gè)驅(qū)動(dòng)源p5v紅軟基地
IEEE 1164中定義的類型p5v紅軟基地
Std_unlogic_vector和std_logic_vectorp5v紅軟基地
IEEE 1164中定義的類型p5v紅軟基地
Std_unlogic、std_ulogic_vector p5v紅軟基地
std_logic_vector和 std_unlogic_vector 類型p5v紅軟基地
均定義在package(包) standard_logic_1164中p5v紅軟基地
在使用這四種類形時(shí)應(yīng)加以說(shuō)明,p5v紅軟基地
例如:library ieee;p5v紅軟基地
         use ieee.std_logic_1164.all; p5v紅軟基地
注: standard_logic_1164位于IEEE庫(kù)中p5v紅軟基地
類型使用例子p5v紅軟基地
例子一(聲明使用的庫(kù)和包)p5v紅軟基地
類型使用例子p5v紅軟基地
例子二 std_ulogic 和std_logic的區(qū)別p5v紅軟基地
類型使用例子p5v紅軟基地
練習(xí)一: 下面那一個(gè)是正確的p5v紅軟基地
VHDL中的操作符p5v紅軟基地
分類p5v紅軟基地
  1、邏輯操作符p5v紅軟基地
  2、關(guān)系操作符p5v紅軟基地
  3、數(shù)學(xué)運(yùn)算符p5v紅軟基地
VHDL中的操作符p5v紅軟基地
1、邏輯操作符有:p5v紅軟基地
VHDL中的操作符p5v紅軟基地
邏輯操作符的應(yīng)用類型p5v紅軟基地
VHDL中的操作符p5v紅軟基地
邏輯操作符的應(yīng)用例子p5v紅軟基地
VHDL中的操作符p5v紅軟基地
2、關(guān)系運(yùn)算符有p5v紅軟基地
VHDL中的操作符p5v紅軟基地
關(guān)系運(yùn)算符的應(yīng)用p5v紅軟基地
VHDL中的操作符p5v紅軟基地
3、數(shù)學(xué)運(yùn)算符p5v紅軟基地
VHDL中的操作符p5v紅軟基地
VHDL中的操作符應(yīng)用要點(diǎn)p5v紅軟基地
   1、VHDL屬于強(qiáng)類型,不同類型之間不能進(jìn)行運(yùn)算和賦值,可以進(jìn)行數(shù)據(jù)類型轉(zhuǎn)換p5v紅軟基地
   2、vector不表示numberp5v紅軟基地
   3、array 不表示numberp5v紅軟基地
VHDL中的操作符p5v紅軟基地
本講結(jié)束p5v紅軟基地
下一講:p5v紅軟基地
     VHDL中的控制語(yǔ)句及模塊p5v紅軟基地
第三講VHDL中的控制語(yǔ)句及模塊p5v紅軟基地
通過(guò)本講您將會(huì)學(xué)到p5v紅軟基地
1、Block的編寫(xiě)p5v紅軟基地
2、Process的編寫(xiě)p5v紅軟基地
3、function 和 procedure的編寫(xiě)p5v紅軟基地
4、VHDL中的流程控制語(yǔ)句的書(shū)寫(xiě)p5v紅軟基地
VHDL中的控制語(yǔ)句及模塊p5v紅軟基地
回顧第一講的內(nèi)容p5v紅軟基地
VHDL中的控制語(yǔ)句及模塊p5v紅軟基地
基本概念p5v紅軟基地
1、并行處理(concurrent)p5v紅軟基地
           語(yǔ)句的執(zhí)行與書(shū)寫(xiě)順序無(wú)關(guān),并行塊內(nèi)的語(yǔ)句時(shí)同時(shí)執(zhí)行的p5v紅軟基地
2、順序處理(sequential)p5v紅軟基地
           語(yǔ)句的執(zhí)行按書(shū)寫(xiě)的先后次序,從前到后順序執(zhí)行。這種方式和其他普通編程語(yǔ)言(如c,pascal)是一樣的。p5v紅軟基地
VHDL中的控制語(yǔ)句及模塊p5v紅軟基地
Architecture 中的語(yǔ)句及子模塊之間是并行處理的p5v紅軟基地
子模塊block中的語(yǔ)句是并行處理的p5v紅軟基地
子模塊process中的語(yǔ)句是順序處理的p5v紅軟基地
子模塊subprogram中的function和procedure是順序處理的p5v紅軟基地
VHDL中的architecturep5v紅軟基地
  Arcthitecture(構(gòu)造體)的格式為:(第一講)p5v紅軟基地
Arcthitecture 構(gòu)造體名 of  實(shí)體名  is p5v紅軟基地
      [定義語(yǔ)句] 內(nèi)部信號(hào)、常數(shù)、元件、數(shù)據(jù)類型、函數(shù)等的定義p5v紅軟基地
     begin p5v紅軟基地
      [并行處理語(yǔ)句和block、process、function、procedure]p5v紅軟基地
     end 構(gòu)造體名;p5v紅軟基地
Architecture中的Blockp5v紅軟基地
Blockp5v紅軟基地
格式p5v紅軟基地
  塊名:p5v紅軟基地
              BLOCKp5v紅軟基地
                  [定義語(yǔ)句]p5v紅軟基地
                 beginp5v紅軟基地
                  [并行處理語(yǔ)句concurrent statement]p5v紅軟基地
                end block  塊名p5v紅軟基地
Architecture中的Blockp5v紅軟基地
條件Blockp5v紅軟基地
格式p5v紅軟基地
  塊名:p5v紅軟基地
              BLOCK  [(布爾表達(dá)式)] p5v紅軟基地
                  [定義語(yǔ)句]p5v紅軟基地
                 beginp5v紅軟基地
                  [并行處理語(yǔ)句concurrent statementp5v紅軟基地
                  [信號(hào)]<= guarded   [信號(hào),延時(shí)] ;p5v紅軟基地
                end block  塊名p5v紅軟基地
Architecture中的Blockp5v紅軟基地
Block 例子p5v紅軟基地
  myblock1:p5v紅軟基地
    block(clk=‘1’)p5v紅軟基地
     signal:qin:bit:=‘0’;p5v紅軟基地
    beginp5v紅軟基地
     qout<=  guarded qin ;p5v紅軟基地
    end block myblock1p5v紅軟基地
Architecture中的processp5v紅軟基地
Processp5v紅軟基地
格式p5v紅軟基地
[進(jìn)程名:]  p5v紅軟基地
   process  [(觸發(fā)信號(hào)列表)]p5v紅軟基地
     [定義語(yǔ)句;]p5v紅軟基地
   beginp5v紅軟基地
     [串行處理語(yǔ)句sequential statement;]p5v紅軟基地
   end processp5v紅軟基地
Architecture中的processp5v紅軟基地
process例子p5v紅軟基地
 exp1:p5v紅軟基地
  process (clk,qin)p5v紅軟基地
   variable:qin:bit:=‘0’;p5v紅軟基地
  beginp5v紅軟基地
    qout<=qin;p5v紅軟基地
  end processp5v紅軟基地
process例子-值的更新p5v紅軟基地
Architecture中的processp5v紅軟基地
Process中敏感信號(hào)列表的普遍原則是:p5v紅軟基地
    在process中,其值被引用的信號(hào)應(yīng)當(dāng)出現(xiàn)在敏感信號(hào)列表中p5v紅軟基地
不符和設(shè)計(jì)要求p5v紅軟基地
Architecture中的subprogramp5v紅軟基地
Function(函數(shù))p5v紅軟基地
格式:p5v紅軟基地
   function  函數(shù)名(參數(shù)1,參數(shù)2 …...)p5v紅軟基地
     [定義語(yǔ)句]     p5v紅軟基地
     return 數(shù)據(jù)類型名 is   [定義語(yǔ)句]p5v紅軟基地
   beginp5v紅軟基地
      [順序執(zhí)行語(yǔ)句]p5v紅軟基地
     return [返回變量名]p5v紅軟基地
   end 函數(shù)名p5v紅軟基地
Architecture中的subprogramp5v紅軟基地
Function 例子p5v紅軟基地
function max(a,b:bit)p5v紅軟基地
   return boolean is variable :flag:boolean;p5v紅軟基地
beginp5v紅軟基地
   if (a=b)thenp5v紅軟基地
      flag<=true;p5v紅軟基地
  end ifp5v紅軟基地
return flag;p5v紅軟基地
end maxp5v紅軟基地
procedure(過(guò)程)p5v紅軟基地
格式:p5v紅軟基地
   procedure  過(guò)程名(參數(shù)1,參數(shù)2 …...)isp5v紅軟基地
     [定義語(yǔ)句]     p5v紅軟基地
   beginp5v紅軟基地
      [順序執(zhí)行語(yǔ)句]p5v紅軟基地
     end  過(guò)程名p5v紅軟基地
Architecture中的subprogramp5v紅軟基地
Procedure例子p5v紅軟基地
procedure max(a,b:in  bit;p5v紅軟基地
                           flag:out boolean)isp5v紅軟基地
beginp5v紅軟基地
   if (a=b)thenp5v紅軟基地
      flag<=true;p5v紅軟基地
  end ifp5v紅軟基地
end max;p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Wait語(yǔ)句p5v紅軟基地
assert語(yǔ)句p5v紅軟基地
If 語(yǔ)句p5v紅軟基地
case語(yǔ)句p5v紅軟基地
for loop語(yǔ)句p5v紅軟基地
while 語(yǔ)句p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Wait語(yǔ)句p5v紅軟基地
書(shū)寫(xiě)格式p5v紅軟基地
       wait;--無(wú)限等待p5v紅軟基地
       wait on [信號(hào)列表]    --等待信號(hào)變化p5v紅軟基地
       wait until [條件];    --等待條件滿足p5v紅軟基地
       wait for [時(shí)間值];   --等待時(shí)間到 p5v紅軟基地
功能 p5v紅軟基地
   wait語(yǔ)句使系統(tǒng)暫時(shí)掛起 (等同于end process),此時(shí),信號(hào)值開(kāi)始更新。條件滿足后,系統(tǒng)將繼續(xù)運(yùn)行 。p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Wait語(yǔ)句例子p5v紅軟基地
process(a,b)p5v紅軟基地
     beginp5v紅軟基地
        y<=a and b;p5v紅軟基地
end process  p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Wait語(yǔ)句例子p5v紅軟基地
如果process中沒(méi)有敏感信號(hào)列表,其進(jìn)程中也沒(méi)p5v紅軟基地
有wait 語(yǔ)句,則process中的程序代碼循環(huán)執(zhí)行p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Assert語(yǔ)句格式p5v紅軟基地
   assert  條件  [report 輸出信息]  [severity]p5v紅軟基地
  說(shuō)明:條件為true 時(shí)執(zhí)行下一條語(yǔ)句,為false 時(shí)輸出錯(cuò)誤信息和錯(cuò)誤的嚴(yán)重級(jí)別p5v紅軟基地
例子p5v紅軟基地
  ….  p5v紅軟基地
  assert(sum=100)report “sum /=100” severity error;p5v紅軟基地
  next statementp5v紅軟基地
  …...p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
If 語(yǔ)句格式p5v紅軟基地
   if 條件 thenp5v紅軟基地
      [順序執(zhí)行語(yǔ)句]p5v紅軟基地
   [else]p5v紅軟基地
     [順序執(zhí)行語(yǔ)句]p5v紅軟基地
    end ifp5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
If 語(yǔ)句例子p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Case 語(yǔ)句格式p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Case 語(yǔ)句例子,條件表達(dá)式可以有多種形式p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
Case 語(yǔ)句例子p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
For loop 語(yǔ)句格式p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
在loop語(yǔ)句中可以用next來(lái)跳出本次 循環(huán),也可以用exit 來(lái)結(jié)束整個(gè)循環(huán)狀態(tài)p5v紅軟基地
     next 格式:next [標(biāo)號(hào)] [when 條件]; p5v紅軟基地
     exit 格式: exit  [標(biāo)號(hào)] [when 條件];p5v紅軟基地
順序執(zhí)行語(yǔ)句sequential statementp5v紅軟基地
While 語(yǔ)句格式p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
1、信號(hào)賦值操作p5v紅軟基地
2、帶條件的信號(hào)賦值語(yǔ)句p5v紅軟基地
3、帶選擇的信號(hào)賦值語(yǔ)句p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
信號(hào)賦值操作p5v紅軟基地
符號(hào)“<=”進(jìn)行信號(hào)賦值操作的,p5v紅軟基地
它可以用在順序執(zhí)行語(yǔ)句中,p5v紅軟基地
也可以用在并行處理語(yǔ)句中p5v紅軟基地
注意p5v紅軟基地
     1、用在并行處理語(yǔ)句中時(shí),符號(hào)<=右邊的值是此條語(yǔ)句的敏感信號(hào),即符號(hào)<=右邊的值發(fā)生變化就會(huì)重新激發(fā)此條賦值語(yǔ)句,也即符號(hào)<=右邊的值不變化時(shí),此條賦值語(yǔ)句就不會(huì)執(zhí)行。如果符號(hào)<=右邊是常數(shù)則賦值語(yǔ)句一直執(zhí)行。p5v紅軟基地
    2、用在順序執(zhí)行語(yǔ)句中時(shí),沒(méi)有以上說(shuō)法。p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
賦值語(yǔ)句例子p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
條件信號(hào)帶入語(yǔ)句格式p5v紅軟基地
目的信號(hào)量  <= 表達(dá)式1  when  條件1p5v紅軟基地
                     else  表達(dá)式2 when 條件2p5v紅軟基地
                     else  表達(dá)式3 when 條件3p5v紅軟基地
                     …..                     p5v紅軟基地
                     else  表達(dá)式4p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
條件信號(hào)帶入語(yǔ)句例子p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
選擇信號(hào)帶入語(yǔ)句格式p5v紅軟基地
  with  表達(dá)式  selectp5v紅軟基地
     目的信號(hào)量  <= 表達(dá)式1  when  條件1,p5v紅軟基地
                                 表達(dá)式2 when 條件2,p5v紅軟基地
                                  …..                     p5v紅軟基地
                                 表達(dá)式n  when 條件n;p5v紅軟基地
并行處理語(yǔ)句concurrent statementp5v紅軟基地
選擇信號(hào)帶入語(yǔ)句例子p5v紅軟基地
順序執(zhí)行語(yǔ)句和并行處理語(yǔ)句p5v紅軟基地
順序執(zhí)行語(yǔ)句和并行處理語(yǔ)句總結(jié)p5v紅軟基地
1、順序執(zhí)行語(yǔ)句 wait、assert、if -else 、case、for-loop、while語(yǔ)句只能用在process、function 和 procedure 中;p5v紅軟基地
2、并行處理語(yǔ)句(條件信號(hào)帶入和選擇信號(hào)帶入)只能用在architecture、block中;p5v紅軟基地
其它語(yǔ)句p5v紅軟基地
Generic語(yǔ)句p5v紅軟基地
enttity  and2 isp5v紅軟基地
   generic(rise:time:=10 ns);p5v紅軟基地
   port(a,b: in nit ; c:out bit);p5v紅軟基地
end and2;p5v紅軟基地
architecture behav of and2 isp5v紅軟基地
beginp5v紅軟基地
  c<=(a xor b) after (rise);p5v紅軟基地
end behavp5v紅軟基地
enttity  testand2 isp5v紅軟基地
 port(ain,bin: in nit ; cout:out bit);p5v紅軟基地
end testand2;p5v紅軟基地
architecture behav of  testand2 isp5v紅軟基地
 component  and2p5v紅軟基地
  generic(rise:time); port(a,b: in nit ; c:out bit);p5v紅軟基地
end component;p5v紅軟基地
beginp5v紅軟基地
  c<=(a xor b) after (rise);p5v紅軟基地
   u0:and2 generic map(20 ns) port map(ain,bin,cout);p5v紅軟基地
end behavp5v紅軟基地
一些例子p5v紅軟基地
Signal  A,B,C, Y,Z,M,N : integer;p5v紅軟基地
Signal  M,N : integer;p5v紅軟基地
beginp5v紅軟基地
  process (A,B,C)p5v紅軟基地
   beginp5v紅軟基地
     M<=A;p5v紅軟基地
     N<=B;p5v紅軟基地
     Z<=M+N;p5v紅軟基地
     M<=C;p5v紅軟基地
     Y<=M+N;p5v紅軟基地
    end processp5v紅軟基地
一些例子p5v紅軟基地
變量值的更新立即發(fā)生p5v紅軟基地
一些例子p5v紅軟基地
Z 和 Y最終取什么值;p5v紅軟基地
結(jié)束語(yǔ)p5v紅軟基地
祝賀您完成了VHDL基本內(nèi)容的學(xué)習(xí),希望您在實(shí)踐過(guò)程中能學(xué)到更多!p5v紅軟基地
下一講:p5v紅軟基地
    狀態(tài)機(jī)的設(shè)計(jì)p5v紅軟基地
第四講、狀態(tài)機(jī)的設(shè)計(jì)p5v紅軟基地
概念p5v紅軟基地
一類十分重要的時(shí)序電路p5v紅軟基地
許多數(shù)字電路的核心部件p5v紅軟基地
狀態(tài)機(jī)概述p5v紅軟基地
狀態(tài)機(jī)的結(jié)構(gòu)p5v紅軟基地
狀態(tài)機(jī)的基本操作p5v紅軟基地
1、狀態(tài)的轉(zhuǎn)換p5v紅軟基地
      下一個(gè)狀態(tài)由譯碼器根據(jù)當(dāng)前狀態(tài)和輸入條件決定。p5v紅軟基地
2、輸出信號(hào)的產(chǎn)生p5v紅軟基地
       輸出信號(hào)由譯碼器根據(jù)當(dāng)前狀態(tài)和輸入條件決定p5v紅軟基地
狀態(tài)機(jī)的時(shí)序 p5v紅軟基地
同步時(shí)序狀態(tài)機(jī)p5v紅軟基地
    由時(shí)鐘信號(hào)觸發(fā)狀態(tài)的轉(zhuǎn)換和信號(hào)的輸出p5v紅軟基地
異步時(shí)序狀態(tài)機(jī)p5v紅軟基地
    狀態(tài)的轉(zhuǎn)移和輸出不與時(shí)鐘信號(hào)同步p5v紅軟基地
狀態(tài)機(jī)的設(shè)計(jì) p5v紅軟基地
在產(chǎn)生輸出的過(guò)程中,由是否使用輸入信號(hào)可以決定狀態(tài)機(jī)的類型p5v紅軟基地
兩種類型p5v紅軟基地
1、米里(mealy)狀態(tài)機(jī)---使用輸入信號(hào)p5v紅軟基地
2、莫爾(moore)狀態(tài)機(jī)---不使用輸入信號(hào)p5v紅軟基地
狀態(tài)機(jī)的類型 p5v紅軟基地
狀態(tài)機(jī)的表達(dá)方式 p5v紅軟基地
1、狀態(tài)圖p5v紅軟基地
2、狀態(tài)表p5v紅軟基地
3、流程圖p5v紅軟基地
狀態(tài)機(jī)的設(shè)計(jì) p5v紅軟基地
3進(jìn)制計(jì)數(shù)器p5v紅軟基地
狀態(tài)機(jī)的設(shè)計(jì) p5v紅軟基地
序列檢測(cè)器(1110010)p5v紅軟基地
VHDL上機(jī)指導(dǎo)p5v紅軟基地
編譯和仿真工具 p5v紅軟基地
   OR-CAD或ACTIVE-VHDLp5v紅軟基地
本次培訓(xùn)采用ACTIVE-VHDLp5v紅軟基地
ACTIVE-VHDL自帶教程p5v紅軟基地
    目錄: ..\Active VHDL\book\Avhdl.htmp5v紅軟基地
 p5v紅軟基地

vhdl ppt:這是vhdl ppt,包括了VHDL語(yǔ)言基礎(chǔ),VHDL基本結(jié)構(gòu),VHDL語(yǔ)句,狀態(tài)機(jī)在VHDL中的實(shí)現(xiàn),常用電路VHDL程序,VHDL仿真,VHDL綜合等內(nèi)容,歡迎點(diǎn)擊下載。

基于VHDL的六層電梯的論文答辯介紹ppt:這是一個(gè)關(guān)于基于VHDL的六層電梯的論文答辯材料ppt,主要介紹了選題的背景與任務(wù)要求;設(shè)計(jì)流程與設(shè)計(jì)原理簡(jiǎn)介;總體電路設(shè)計(jì)與仿真;設(shè)計(jì)總體評(píng)估和收獲;擴(kuò)展性分析與展望等內(nèi)容。本次設(shè)計(jì)完成了設(shè)想的設(shè)計(jì)要求,實(shí)現(xiàn)了電梯所應(yīng)具備的基本功能并且進(jìn)行了正確的時(shí)序仿真,生成了正確的仿真波形圖。仿真結(jié)果表明VHDL 語(yǔ)言應(yīng)用于數(shù)字電路仿真是切實(shí)可行的。VHDL語(yǔ)言是一個(gè)很好用的硬件描述語(yǔ)言,能夠正確完成電梯控制的任務(wù)要求。同時(shí)在本次設(shè)計(jì)中我通過(guò)努力將所學(xué)運(yùn)用于實(shí)踐,這加深了我對(duì)專業(yè)的認(rèn)識(shí),并且也收獲到了成功后的喜悅。電梯控制器是一個(gè)比較復(fù)雜的系統(tǒng),由于設(shè)計(jì)任務(wù)的要求我們主要慮了六層電梯控制器的設(shè)計(jì)。但實(shí)際生活中電梯的層數(shù)和功能需要按照人們的需求不斷變化由于我們采用的是模塊化的設(shè)計(jì),這樣便方便了我們對(duì)系統(tǒng)的功能擴(kuò)展,除此之外,本次程序設(shè)計(jì)大多采用的是邏輯矢量,通過(guò)適當(dāng)引入變量,也可以方便我們對(duì)電梯控制器層數(shù)的擴(kuò)展,歡迎點(diǎn)擊下載!

PPT分類Classification

Copyright:2009-2024 紅軟網(wǎng) rsdown.cn 聯(lián)系郵箱:rsdown@163.com

湘ICP備2024053236號(hào)-1